SISTEM PENGONTROLAN MOTOR DC DAN KATUP OTOMATIS

Main Article Content

Erlina Erlina
Carolus Borromeus S

Abstract

AT89S52 microcontroller to control a dc motor that serves as a water pump motor and automatic valves as water entry and exit control, application control, the writer intended as a simulation of filling water in a bucket or tub in open loop through an intermediary medium sms which also serves as a giver of orders, which later when refined into a closed loop can be applied for filling the boiler tank in plants - power plants.

Downloads

Download data is not yet available.

Article Details

How to Cite
Erlina, E., & S, C. B. (2015). SISTEM PENGONTROLAN MOTOR DC DAN KATUP OTOMATIS. ENERGI & KELISTRIKAN, 7(1), 64–70. https://doi.org/10.33322/energi.v7i1.583
Section
Articles